Quantcast
Channel: Mentor Graphics Communities : Popular Discussions - All Communities
Viewing all 1110 articles
Browse latest View live

Getting "hyperlinks" into the DxDesigner Output Window

$
0
0

I have a utility that scans a schematic extracting Bill of Material information. As part of the extraction process component attributes are checked against our internal company standards. If any components are found to be in error (e.g Value set to TBA!, part numbers not specified etc) then details are listed to the Output Window in a new tab. So far so good.

 

What I really want now is to be able to click on a line on the output window and then have the the system select and zoom in on the corresponding component. Now either there is some special format I have to use when writing to this window or I have to make use of the IHtmlCtrl->RegisterErrorExpression function (exported from OutputWindow70.dll). Either way I am now stumped by the total lack of documentation / example code. Has anyone else come up against this?


Introduce yourself

$
0
0

If this is your first time posting in the forum, please introduce yourself and say hi to everyone in the community.

 

I'm Karen Chow, and I work at Mentor Graphics as a Technical Marketing Engineer for Calibre xRC. I started my career working at Nortel in Ottawa, first in synchronization, then in analog ICs for telephony. I then moved to Mentor Graphics to become an AE in Ottawa, then moved to the Mentor head office in Wilsonville OR (suburb of Portland). In my spare time, I enjoy playing keyboards and bass, and singing. I also enjoy quilting as well.

 

 

 

I'm looking forward to meeting you in the forums.

 

 

 

Karen.

RegisterErrorExpression 오류 문의 드립니다.

$
0
0

HyperLink를 사용하기 Message Window 구문에 Call outTab.RegisterErrorExpression(sRCCPattern, ScriptEngine, "vFunc", "fFunc") 구문을 추가 하였습니다.

 

이때 vbs로 실행 할 때는 정상 동작 하지만, efm에서 실행 할 때는 아래와 같은 에러가 발생 합니다.

 

형식이 일치하지 않습니다. 'outTab.RegisterErrorExpression

 

 

efm에서의 Hyperlink는 어떻게 해야 하는지요?

Jlink doesn't seem to be downloading to ATSAM3UEK

$
0
0

I'm working with the v1.0.0 realease of the ATSAM3UEK bsp but I don't think code is being downloaded to the board during debug.

 

I'm using a Jlink to debug the Kernel Demo as a Nucleus application. The project appears to build without issue.

It seems that the Jlink connects to the board and Codebench will seem to step though the code - moves to next source line in csgnu_asm.S on each Step Over (Jlink activity light blinks on each step). However, in the Disassembly window, *all* instructions are listed as "movs r0,r0".

 

If I click on gdb in the Debug tab, it lists the following messages:

 

warning: while parsing target description (at line 38): Register "CR" has unknown type "CR"

warning: Could not load XML target description; ignoring

warning: while parsing target description (at line 38): Register "CR" has unknown type "CR"

warning: Could not load XML target description; ignoring

 

Is there some initial configuration that needs to be done to use the Jlink? The release notes indicate that the bsp is compatible with the Jlink.

 

Regards,

Galen

X,Y coordinates of a Cell in GDS

$
0
0

All,

 

I have a GDS II open in Calibre DesignRev.  Now I want to get the x,y coordinates of a particular cell in a die. Also, Is it possible to get x,y coordinates of all the cells or particular type (like AND gates) or to Highlight / Select all the cells of a particular type in complete layout.

 

Regards

M. Ali Akhtar

 

ICDT Lab, Portland State University

Loss of relationship between differential pairs

$
0
0

Hi all,

 

Suddenly differential pairs have lost their relationship when routing. In constraint editor and other places they are still defined as differential pairs. But when I tried to plow them, they act as individual traces.

 

What could be the cause for this ?

 

Thank you

Terminal Specs

$
0
0

Hello everyone,

 

Quick question with regards to wire terminals.

 

We are using the generative design method.  In my Integrator design, I am assigning a terminal material spec to each wire (SN).  When I sync my design into Harness XC, and run harness processing, the startterminal and endterminal attributes are not SN, but are change to TP.

 

Can someone help me understand what I am doing wrong?

 

 

Thank you!!

how to generate route file in Pads layout ??

$
0
0

Hi,

How to generate route file for oval slot and Rectangle Drill in pads layout 9.5 and what is augment in drill chart ??route.JPG


xDM Library and xDX Designer issue

$
0
0

When I'm creating the top module in xDx Designer, there was an error

"No part data.
No Part Number, Part Name, nor Part Label has been entered.
Please enter some data to enable packaging"

 

I went back to xDM Library Tools > Part Editor and updated the properties. But xDX Designer didn't update with

the properties I entered. (I updated Libraries in xDX Designer)

 

How can I solve this? (As a temporary solution, I updated properties for each part in xDX Designer)

How to convert Allegro BRD file to ExpeditionPCB?

$
0
0

Hi All,

 

Does anybody have an experience in converting Allegro BRD file to ExpeditionPCB? My platform is Windows7.


I've got Allegro BRD file and now need to translate it into ExpeditionPCB.
For this, I have downloaded Allegro Free Physical Viewer 16.6 from Cadence site and also added Allegro To Expedition Translator to my EE7.9.5.
Then I follow allegro2exp.pdf documentation:

 

1. I've set three environment variables:

 

   AEX_BIN_ROOT=D:\MentorGraphics\7.9.5EE\SDD_HOME\translators\win32\bin
   AEX_ENABLE_JOBPREFS_LAYER_FIX=1
   ALLEGRO_LONG_PACKAGE_NAME=TRUE

 

2. I've set the environment variable for Cadence:
   HOME=D:\MyCadence\


   Then I have created \pcbenv within D:\MyCadence and copied all the *.il files from \7.9.5EE\SDD_HOME\translators\skill_scripts into D:\MyCadence\pcbenv folder.

 

3. I've successfully opened my BRD file in Allegro Free Physical Viewer 16.6.


   In Command pane I've typied the following command:
   skill load "dfl_main.il"

 

   However, this command failed, with the following message:
   Command not found: skill load "dfl_main.il"

 

Does anybody have any advice?

 

Regards,
Oleg

Modelsim running error - Fedora 20

$
0
0

Hi guys, i just installed fedora 20 and Quartus II 13.1 with Model Sim Starter Edition.

I downloaded all required packages, but when i try to run modelsim, it shows me this msg:

 

 

[root@localhost Downloads]# vsim

Error in startup script:

Initialization problem, exiting.

Initialization problem, exiting.

Initialization problem, exiting.

    while executing

"EnvHistory::Reset"

    (procedure "PropertiesInit" line 3)

    invoked from within

"PropertiesInit"

    invoked from within

"ncFyP12 -+"

    (file "/home/rodrigo/altera/13.1/modelsim_ase/linux/../tcl/vsim/vsim" line 1)

** Fatal: Read failure in vlm process (0,0)

 

I tried to reinstall and sam thing happened. I tried downloaded Quartus 13.0 and same thing occurs.

I read in a ArchLinux forum that maybe it is a problem with an update on freetype package, but i just dont know how to fix it.

Somebody knows what this is?

Help on download advanced library editor

when iam opening the created wlf file iam getting below message

$
0
0

assertions]% Reading pref.tcl

WLF file vsim.wlf contains no context information.

 

Hi,

 

When i am simulating below code iam getting the message above and waveform is not getting opened.

It would be really helpfull if someone helps me to fix this issue.

 

program main;

  bit [7:0] data;

  bit clk=0,rst;

 

  property unknown_check;

    @(posedge clk)

      disable iff(rst) $isunknown(data);

  endproperty         

  unknow_property:assert property(unknown_check);

 

  initial begin

    $display("Entered initial to drive data");         

    rst=1;

    #2;

    data=8'h20;

    #20

 

    data=8'hX;

  end

  initial

    forever #2 clk=~clk;

 

 

  initial begin

    $dumpfile("");

    $wlfdumpvars;

    $dumpon;

  end         

endprogram   

OPEN EFM SCRIPT AND CLOSE TO AVOID MULTIPLE ISTANCES

$
0
0

Hi, i have a main EFM script with two button; one for open and one for close another efm. When i press the OPEN button i want to launch an efm script and when i press the CLOSE button i want to close the same script.

 

How can i do this?

 

Thanks

Please give me a reason to keep sticking with PADS

$
0
0

Ok, I'm a little bit stressed out in here. I'm running basically a one man engineering office. I have PADS LS Suite and use mostly Logic and PADS Layout - only occasionally PADS Router but no DxDesigner at all.

 

With the new design where I need to apply SDRAM or even DDR, it would help alot to have length control for routing the bus. A signal integrity check would be nice feature as well and for few times I have missed differential routing rules that are not included in PADS Router in LS suite.

 

These features I can get my upgrading my PADS to ES Suite, but it does cost money. Plus to this, my annual subscription would double. So, I've started to look for other options as well... And one is Altium Designer, that is half the price of ES Suite, but about the same in cost of LS -> ES upgrade. I have evaluated Altium now a little bit and it does look like a nice tool. I probably does have its flaws as well, but features are many and from my point of view most what I would use are quite capable doing what they were designed to do. Absolutely welcome features are things like gerber tools (I can view gerbers I made, I can do panelizing) or generating STEP models from my boards (I have had requests from my clients for this and IDF is NOT an solution unless I want to ask for my clients each time to buy a IDF importer plugin for their MCAD just for importing this one object to their system, that's plain silly).

 

The schematic capture is also quite nice looking although it will take time to get used to it. I guess DxDesigner comes close to it in features such as reusability and blocking your repeatable parts of your design but there is a learning curve as well thus no matter what route I go I need to familiarize myself with the new tool. Altium also offers lots of ready made library components that can be used to build your own library quicker (saves tons of time for new components, like MCU's). Also trainings are either free (when under subscription) or at least very, very reasonable priced. I can't help but feel that Altium offers more bang for a buck. I'm starting to feeling like I'm a too small user for Mentor Graphics for it to be the supplier for my EDA software.

 

I don't know, I'm pulling my hair off while I'm trying to make the decision between these two options. Then again I have also a third option: just to try going on with the current tools even if it is not the perfect choice.


Library Manager Exit or Quit issue

$
0
0

In Library Manager application startup script below, I realize that Library Manager Task ID application still exist even I already quit Library Manager application. I used the same startup script below for DxDesigner and Expedition applications. Both applications quit or exit completely without Task ID in Windows Task Manager. Is this a bug of Exit functionality in Library Manager? Any workaround you can advise to kill Library Manager application in Windows Task Manager?

 

Option Explicit

Scripting.DontExit = True

Scripting.Globals.Data("ApplicationStartup") = ScriptEngine

ARM CodeBench license issue: "no such feature exists"

$
0
0

I bought a license for our new build server (VM running Win7 Ultimate on VMWare Workstation 10.0.4) and installed it via the

Sourcery CodeBench IDE (Version: 2014.05-36). The IDE accepted the license but when running the ARM compiler, following

error occurs (see error.txt):

 

No such feature exists.

Feature: gcc_ARM_EABI

 

The license path is correct and the host id is correctly detected too. The license contains following entries

 

INCREMENT armsptarmeabi mgcld 2016.030 3-sep-2015 0 7ED65672676DD8C05ECA \

    VENDOR_STRING=56B123DF HOSTID=000c297ed211 SN=48048790 SIGN2="194A \

    8BAB 7955 C18F 69F7 10F6 D6C4 E57E 5A86 6923 E199 0E86 C60A 9AE5 E085 \

    0138 1DBD F2DE 202B B0A1 D44C FA43 D84E 1216 30AE D853 4C51 0BC6 3354 \

    D529"

INCREMENT gccarmeabi mgcld 2016.030 3-sep-2015 0 0ED6C6D2D8916DCC435F \

    VENDOR_STRING=504278E8 HOSTID=000c297ed211 SN=48048789 SIGN2="161A \

    2DB2 0301 EDE6 F2AB 1A97 B866 7479 1DD7 536A 4C58 C9A7 814B 31D0 B11B \

    070E 95BE 4136 A8CD 3E0E 2EFF CC78 6C74 0A7D AE72 3592 BFBF F7BE DEEF \

    DD1B"

INCREMENT idearmeabi mgcld 2016.030 3-sep-2015 0 3E66B6D2A38BD662ABCA \

    VENDOR_STRING=51524892 HOSTID=000c297ed211 SN=48048788 SIGN2="0C67 \

    FE19 1909 7B43 7250 9D00 C749 AAE2 21BF 4C39 CC76 330D CDE4 881F C7DA \

    07E4 6138 61D5 8995 57C1 62E0 815A E1A6 6AA6 1B73 24E4 ED76 93F2 7CF3 \

    BBA2"

INCREMENT scbmultilibs mgcld 2016.030 3-sep-2015 0 6E0626424DAA51A75D30 \

    VENDOR_STRING=0630CFBA HOSTID=000c297ed211 SN=48048791 SIGN2="07E3 \

    3089 2695 2A09 5A7F 5B0B 70CD 76E0 AE46 EFB2 8029 EA15 96AD 1082 E3CE \

    07F0 9293 1A60 B19E DDB4 FE13 C489 451D 7A82 778A 1052 F4B7 45D9 760D \

    EE6B"

 

Why am I getting this error message?

 

Any help or hint much appreciated, I've been struggling 3 weeks on this and Mentor's support

couldn't help so far.

 

Yann

Sheet based net class assignment

$
0
0

I have attempted to setup sheet based net class in DxDesigner, but netlisting reveals this is being ignored. So I'll ask the community has anyone be able to set this up? PLease advise. Thank you folr your help and consideration!

Plane shape couldn't connect vias/pin

$
0
0

Hi All,

 

I am using EE2007.9.5 and I drawn a plane shape as usual but couldn't connect with corresponding through pin/vias.

 

I crosschecked both plane to pad ,other drc's and there are no any obstruct found that area.

 

Kindly refer below snapshot and suggest.

 

3

 

Thanks in advance.

 

Regards,

C.Senthil

Why Menu Bar does not work in Library Manager?

$
0
0

I have a startup script below to preview a Symbol in Library Manager. Unfortunately, my custom &myMenu as below cannot work. Any reason? If I commented preview Symbol code (line 7), it works fine. Any advice?

 

Dim appID, app, job, libObj, actLibInit, actPSymbol

appID = "LibraryManager.Application"

Set app = GetObject(, appID)

job = "C:\Temp\Mentor-docs\AATK\1499\AATK_1499\AATK_Central_Lib\AATK_Central_Lib.lmc"

Set libObj = app.OpenLibrary(job)

Set actLibInit = app.ActiveLibrary

actPSymbol = app.PreviewSymbolEx(job, "active_discrete", "crystal", kSYMBOL_DX)

 

Dim docMenuBar, menupos, sMenu

Set docMenuBar = CommandBars("Main Menu Bar")

menupos = docMenuBar.Controls.Count()

Set sMenu = docMenuBar.Controls.Add(cmdControlPopup,,,menupos)

sMenu.Caption = "&myMenu"

Viewing all 1110 articles
Browse latest View live


<script src="https://jsc.adskeeper.com/r/s/rssing.com.1596347.js" async> </script>